OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] - Rev 17

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
17 Lesser GPL license, the one suggested by opencores@ jguarin2002 4908d 06h /
16 Commiting differences related to Doxygen documentation adding jguarin2002 4908d 06h /
15 When selecting s0name, s1name, for a signal that belongs to a 2 stage pipe, the compiler would, based on the name, create just a single flipflop with Q feedbacking D, and that's no the case, so a lot of names has been changed, from s0signalname, s1signalname to stage0signalname, s1signalname and so on... jguarin2002 4910d 18h /
14 Lots of typos fixed...... jguarin2002 4912d 18h /
13 syntax typo fixed... jguarin2002 4912d 20h /
12 syntax typo fixed... jguarin2002 4912d 20h /
11 The Raytrac file is the top hierarchy entity, below are uf and opcoder jguarin2002 4918d 11h /
10 arithpack component declaration changed to make a more 'understandable' design, perhaps wont be that legible but at this stage, at least to me it is jguarin2002 4918d 11h /
9 dumped fastmux, did not need it at all (by now), therefore arithpack.vhd was modified, by deleting the fastmux component declaration jguarin2002 4918d 14h /
8 uf.vhd now is complete, got to assemble the whole uf.vhd and opcoder and the raytracing engine will be completed jguarin2002 4918d 19h /
7 minor fix in opcoder.vhd jguarin2002 4918d 19h /
6 Terminado 'when others'... seguro que ahora si jguarin2002 4921d 18h /
5 Terminado 'when others' jguarin2002 4921d 18h /
4 Error de syntaxis en opcoder.vhd corregido........ jguarin2002 4921d 19h /
3 Cambios simples en uf.vhd. Se adherio opcoder.vhd jguarin2002 4921d 19h /
2 In next check in i will comment in a richer and significant way, for now Im on planning Stage ..... jguarin2002 4924d 06h /
1 The project and the structure was created root 4927d 12h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.