OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] - Rev 12

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 Added absolute priority arbitration to ring to avoid
having two ring taps transmit at same time
ghutchis 5307d 21h /
11 Updated bridge example to fix a number of small bugs.
First packet now exits bridge from all ports.
ghutchis 5308d 20h /
10 Fixed "locked" variable in rrslow ghutchis 5309d 00h /
9 Added rx_gigmac, additional debug work on concentrator & fib ghutchis 5309d 01h /
8 Added compiling version of bridge example ghutchis 5310d 13h /
7 Added rrslow ghutchis 5312d 16h /
6 Modified "B" output buffer for full-rate operation ghutchis 5315d 01h /
5 Added new component for port ring ghutchis 5315d 17h /
4 Added example directory with basic bridge ghutchis 5316d 11h /
3 Added small/synchronizer FIFO, along with minimal testbench ghutchis 5317d 11h /
2 Initial commit of directory structure and basic components ghutchis 5321d 20h /
1 The project and the structure was created root 5329d 12h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.