OpenCores
URL https://opencores.org/ocsvn/t80/t80/trunk

Subversion Repositories t80

[/] - Rev 41

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
41 Removed UNISIM library jesus 7954d 02h /
40 Cleanup jesus 7954d 03h /
39 Added -n option and component declaration jesus 7982d 00h /
38 Added Leonardo .ucf generation jesus 7982d 00h /
37 Changed to single register file jesus 7982d 03h /
36 Added component declaration jesus 7982d 03h /
35 Release 0242 jesus 7988d 15h /
34 Updated for ISE 5.1 jesus 7988d 20h /
33 Fixed typo jesus 7998d 12h /
32 Fixed for ISE 5.1 jesus 7998d 12h /
31 Fixed generic name error jesus 8001d 14h /
30 Changed to xilinx specific RAM jesus 8007d 14h /
29 Fixed (IX/IY+d) timing and added all GB op-codes jesus 8007d 14h /
28 Adapted for zxgate jesus 8008d 14h /
27 Xilinx SSRAM, initial release jesus 8008d 14h /
26 Fixed instruction timing for POP and DJNZ jesus 8022d 06h /
25 IX/IY timing and ADC/SBC fix jesus 8023d 15h /
24 no message jesus 8029d 12h /
23 Fixed T2Write jesus 8029d 12h /
22 Added 8080 top level jesus 8029d 12h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.