OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [sim/] [altera/] [virtual_jtag_stimulus.tcl] - Rev 13

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 Added timing information to the capture content. ash_riple 4474d 06h /bustap-jtag/trunk/sim/altera/virtual_jtag_stimulus.tcl
11 Added pre-trigger capture. ash_riple 4474d 22h /bustap-jtag/trunk/sim/altera/virtual_jtag_stimulus.tcl
10 Changed the location/reference/generation of compiler directive file: jtag_sim_define.h, to have better code structure. ash_riple 4480d 03h /bustap-jtag/trunk/sim/altera/virtual_jtag_stimulus.tcl
9 Added testbench with interactive GUI. Start it from "sim.bat" or "do sim.do".
Virtual JTAG stimulus can only be entered statically before simulation starts.
FIFO operation can be simulated dynamically while simulation is run.
ash_riple 4480d 22h /bustap-jtag/trunk/sim/altera/virtual_jtag_stimulus.tcl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.