OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [trunk/] [fpga/] [mc/] [src/] [dsp/] [common/] [dspmem.v] - Rev 299

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
290 Wishbone-compatible bus signals hellwig 3274d 07h /eco32/trunk/fpga/mc/src/dsp/common/dspmem.v
288 new directory structure within fpga hellwig 3275d 05h /eco32/trunk/fpga/mc/src/dsp/common/dspmem.v
215 organizing hardware hellwig 3599d 15h /eco32/trunk/fpga/mc/src/dsp/common/dspmem.v
123 hardware: dsp now equivalent to port-15 hellwig 3785d 01h /eco32/trunk/fpga/mc/src/dsp/common/dspmem.v
27 fpga implementation unpacked hellwig 3810d 12h /eco32/trunk/fpga/mc/src/dsp/common/dspmem.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.