OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple.vhd] - Rev 34

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
33 fixed in the bit file header analyse function. it was not possible to handle bit files (configure fpga, store into spi flash) when using USB 1.1 conections.

disabled the debug define in the firmware Makefile

removed the chipscope file from the GECKO3COM_simple project to get the logic utilisation of our core only.
nussgipfel 5330d 13h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple.vhd
26 basic in/out transfers working. tester consumes data and generates the test messages.
protocol handling is working. at the moment it gets out of sync for long data outs.
for in transfers, to less data is sent to the host, deadlock for long in transfers.
nussgipfel 5350d 10h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple.vhd
24 first version of the GECKO3COM_simple_test that successfully synthesized.
debugging starts now.

fixed a small bug in the gpif_com_test due to the adding of the gpif_com_eom signal and the eom bit
flip-flop in the gpif_com module.
nussgipfel 5355d 17h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple.vhd
23 GECKO3COM_simple_test designed and written.
added needed switches to the ucf files.

did some cleanup in the GECKO3_simple_*
nussgipfel 5357d 12h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple.vhd
22 a lot of work is done for the GECKO3COM_simple IP core. datapath and fsm is designed and implemente,
top level is implemented. needs still some tweks but time to make a backup!

the simple ip core will be tested together with the GECKO3COM_simple_test.
nussgipfel 5358d 21h /gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.