OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [src/] [memtest/] [makefile] - Rev 245

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
229 Code samples updated to use new VHDL config packages and new SoC (UART). ja_rd 4457d 12h /ion/trunk/src/memtest/makefile
213 Memory test application updated -- added extra-simple D-Cache test.
The new test is a row of back-to-back I/O reads and writes.
This test triggers a bug in the cache that has been already fixed.
ja_rd 4468d 11h /ion/trunk/src/memtest/makefile
193 Major test bench reorganization:
1.- TB now uses same object code as synthesizable demo.
2.- TB now simulates full MPU system.
3.- Console logging moved to TB package.
4.- Code sample makefiles and modelsim script updated accordingly.
ja_rd 4783d 21h /ion/trunk/src/memtest/makefile
191 Separated object code stuff from mcu entity
Object code related stuff now lives in separate file
Makefiles for code samples updated accordingly
Old mcu template deprecated but still in place
ja_rd 4788d 07h /ion/trunk/src/memtest/makefile
185 FIX: committed forgotten source file for 'memtest' demo...
Updated memtest makefile
ja_rd 4822d 18h /ion/trunk/src/memtest/makefile
149 changed size of simulated flash in opcodes sample code ja_rd 4838d 06h /ion/trunk/src/memtest/makefile
109 Updated memtest code sample:
- Initializes I-cache
- Tests execution from FLASH
- Uses small memory model for faster simulation
ja_rd 4900d 11h /ion/trunk/src/memtest/makefile
90 Added 'Adventure' demo to be run from the DE-1 FLASH ja_rd 4944d 07h /ion/trunk/src/memtest/makefile
78 Code sample 'memtest' adapted to test read from flash ja_rd 4954d 05h /ion/trunk/src/memtest/makefile
66 Code samples:
Updated all code samples to use TB2 template and new memory map
ja_rd 4954d 21h /ion/trunk/src/memtest/makefile
50 New code sample: memtest
Tests external RAM
ja_rd 4957d 03h /ion/trunk/src/memtest/makefile

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.