OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [mips_cache.vhdl] - Rev 147

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
145 MAJOR UPDATE: first version of D-Cache ja_rd 4804d 18h /ion/trunk/vhdl/mips_cache.vhdl
141 BUG FIX in cache: cpu stall logic was missing key case
2nd SW in a (cached) row was not being stalled
ja_rd 4806d 08h /ion/trunk/vhdl/mips_cache.vhdl
134 Added 'unmapped access' flag to CPU core, meant for debug mostly.
Eventually this flag will trigger an interrupt.
ja_rd 4807d 02h /ion/trunk/vhdl/mips_cache.vhdl
114 ADDED: 1st version of real cache ja_rd 4865d 05h /ion/trunk/vhdl/mips_cache.vhdl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.