OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [mips_cache_stub.vhdl] - Rev 72

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
72 Fixed stupid bug in SRAM write cycles (setup time violated)
Wait states implemented for SRAM wait and read cycles
ja_rd 4939d 02h /ion/trunk/vhdl/mips_cache_stub.vhdl
64 Refactored memory decoding logic
(wait states and read-only attributes unimplemented yet)
ja_rd 4939d 15h /ion/trunk/vhdl/mips_cache_stub.vhdl
58 Cleaned up cache stub code ja_rd 4941d 15h /ion/trunk/vhdl/mips_cache_stub.vhdl
46 First version of cache: stub, 1-word cache
Stub cache tested on simulation and HW, just a stub
Adapted CPU stall logic to 1st version of cache
Adapted all other modules for compatibility with cache
ja_rd 4941d 20h /ion/trunk/vhdl/mips_cache_stub.vhdl
43 added comments to dummy 'cache' stub ja_rd 4944d 04h /ion/trunk/vhdl/mips_cache_stub.vhdl
42 Added cache stub module, plus related test bench ja_rd 4945d 23h /ion/trunk/vhdl/mips_cache_stub.vhdl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.