OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [scanFF.vhd] - Rev 85

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
60 Some K or VD bits are getting are inverted at the exit....

I check the rtl net viewer, and the circuit compiled is quite strange nor correspondant....
jguarin2002 5372d 15h /jart/branches/ver0branch/scanFF.vhd
59 scanFF syntax error. jguarin2002 5373d 20h /jart/branches/ver0branch/scanFF.vhd
56 A Scan Out flip flop used by the dComparisonCell. jguarin2002 5373d 20h /jart/branches/ver0branch/scanFF.vhd
35 Everything is going to the same directory.... jguarin2002 5373d 22h /jart/trunk/BLRT/scanFF.vhd
31 A more comprehensive scan chain. jguarin2002 5382d 16h /jart/trunk/BLSCAN/scanFF.vhd
12 A configurable chain size and stage width scan out. jguarin2002 5408d 07h /jart/trunk/BLSCAN/scanFF.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.