OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [trunk/] [source/] [testbench/] [MDCT_TB.DO] - Rev 27

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
24 New directory structure. root 5696d 13h /mdct/trunk/source/testbench/MDCT_TB.DO
18 Minor fixes. This release is FPGA proven. mikel262 6723d 16h /mdct/trunk/source/testbench/MDCT_TB.DO
15 Redesigned. Fully pipelined, always ready for data design mikel262 6745d 13h /mdct/trunk/source/testbench/MDCT_TB.DO
11 changed ROM memory model to synchronous mikel262 6750d 12h /mdct/trunk/source/testbench/MDCT_TB.DO
2 Initial import (Beta Release) mikel262 6756d 01h /mdct/trunk/source/testbench/MDCT_TB.DO

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.