OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] [alu.vhd] - Rev 350

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
350 root 5581d 04h /mlite/trunk/vhdl/alu.vhd
139 Major changes -- updated to Plasma Version 3 rhoads 6681d 16h /mlite/trunk/vhdl/alu.vhd
131 Changed "GENERIC" to "DEFAULT" to be Xilinx friendly. rhoads 7161d 15h /mlite/trunk/vhdl/alu.vhd
128 Reset all registers, constants now upper case. rhoads 7299d 02h /mlite/trunk/vhdl/alu.vhd
112 Merged Matthias Grunewald's changes to use tri-state for smaller Xilinx FPGA. rhoads 7498d 16h /mlite/trunk/vhdl/alu.vhd
81 Removed unused case statements rhoads 8023d 13h /mlite/trunk/vhdl/alu.vhd
47 Altera rhoads 8057d 16h /mlite/trunk/vhdl/alu.vhd
43 Renamed M-lite to Plasma rhoads 8137d 16h /mlite/trunk/vhdl/alu.vhd
39 Changed name to M-lite to avoid trademark issues. rhoads 8169d 21h /mlite/trunk/vhdl/alu.vhd
7 Made writes 4 cycles, improved mem_ctrl.vhd rhoads 8211d 22h /mlite/trunk/vhdl/alu.vhd
2 MIPS-lite CPU core rhoads 8434d 21h /mlite/trunk/vhdl/alu.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.