OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_pkg.vhd] - Rev 48

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
41 minor changes budinero 5441d 01h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
34 name changes budinero 5455d 14h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
22 Added test signals (will be removed) budinero 5477d 00h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
19 Created witdth extension (8 epp data witdh to 16 bits) budinero 5559d 13h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
16 New directory structure. root 5595d 13h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
15 Final EPP module. budinero 5636d 21h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
14 Added testing modules budinero 5641d 15h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd
11 *** empty log message *** budinero 5673d 22h /modular_oscilloscope/trunk/hdl/epp/eppwbn_pkg.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.