OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_43/] [or1ksim/] [testbench/] [Makefile.am] - Rev 1765

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
1765 root 5568d 11h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
1411 This commit was manufactured by cvs2svn to create tag 'nog_patch_43'. 7008d 18h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
970 Testbench is now running on ORP architecture platform. simons 7967d 12h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
802 Cache and tick timer tests fixed. simons 8100d 01h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
664 very simple PS/2 keyboard model with associated test added markom 8151d 22h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
645 simple frame buffer peripheral with test added markom 8159d 01h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
621 Cache test works on hardware. simons 8166d 23h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
615 cmov and extxx instructions; add, addi, and, andi now set flag markom 8169d 19h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
604 mul test repaired - signed multiplication; obsolete pic test removed; make check pass markom 8173d 22h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
576 some risc test added markom 8179d 20h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
574 fixed some tests to work markom 8180d 00h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
538 memory width increased to 32bit; new memory test mem_test added - simple big endian test markom 8187d 00h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
516 except test files renamed markom 8190d 23h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
493 --enable-opt switch added to testbench configure markom 8204d 23h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
485 gdb.h moved to debug dir; except.ld renamed to default.ld markom 8206d 02h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
478 Started adding acv_gpio testbench erez 8206d 19h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
460 excpt test removed except test added. simons 8208d 11h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
452 Added mc tests. ivang 8211d 20h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
451 each test should define its own LDFLAGS markom 8211d 21h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am
424 memory configuration file joined into .cfg file; *mem.cfg are obsolete; read-only and write-only memory is supported; memory logging is not yet supported; update of testbench - only cache test fails, since it writes to RO memory markom 8214d 23h /or1k/tags/nog_patch_43/or1ksim/testbench/Makefile.am

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.