OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [mp3/] [rtl/] [verilog/] [mem_if/] [flash_top.v] - Rev 1765

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
1765 root 5622d 03h /or1k/trunk/mp3/rtl/verilog/mem_if/flash_top.v
661 Allow flash writes. Ugly workaround for something else... lampret 8206d 21h /or1k/trunk/mp3/rtl/verilog/mem_if/flash_top.v
609 Added wb_err_o to flash and sram i/f for testing the buserr exception. lampret 8225d 18h /or1k/trunk/mp3/rtl/verilog/mem_if/flash_top.v
562 Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if. lampret 8234d 19h /or1k/trunk/mp3/rtl/verilog/mem_if/flash_top.v
266 First import. lampret 8305d 06h /or1k/trunk/mp3/rtl/verilog/mem_if/flash_top.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.