OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] [orp/] [orp_soc/] [rtl/] [verilog/] [mem_if/] [flash_top.v] - Rev 1765

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
1765 root 5697d 19h /or1k/trunk/orp/orp_soc/rtl/verilog/mem_if/flash_top.v
1052 Delayed wb_err_o. Disabled wb_ack_o when wb_err_o is asserted. lampret 8065d 15h /or1k/trunk/orp/orp_soc/rtl/verilog/mem_if/flash_top.v
959 Fixed size of generic flash/sram to exactly 2MB lampret 8098d 11h /or1k/trunk/orp/orp_soc/rtl/verilog/mem_if/flash_top.v
945 Changed logic when FLASH_GENERIC_REGISTERED lampret 8100d 12h /or1k/trunk/orp/orp_soc/rtl/verilog/mem_if/flash_top.v
746 First import of the "new" XESS XSV environment. lampret 8244d 01h /or1k/trunk/orp/orp_soc/rtl/verilog/mem_if/flash_top.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.