OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_8/] [rtl/] [verilog/] [pci_target32_sm.v] - Rev 154

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
154 New directory structure. root 5589d 01h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
120 This commit was manufactured by cvs2svn to create tag 'rel_8'. 7616d 12h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
108 Added 'three_left_out' to pci_pciw_fifo signaling three locations before full. Added comparison between current registered cbe and next unregistered cbe to signal wb_master whether it is allowed to performe burst or not. Due to this, I needed 'three_left_out' so that writing to pci_pciw_fifo can be registered, otherwise timing problems would occure. tadejm 7629d 17h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
77 Changed module and file names. Updated scripts accordingly. FIFO synchronizations changed. mihad 7822d 16h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
73 Bug fixes, testcases added. mihad 7828d 17h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
56 Number of state bits define was removed mihad 7947d 14h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
55 Changed state machine encoding to true one-hot mihad 7947d 15h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
51 Fixed a bug and provided testcase for it. Target was responding to configuration cycle type 1 transactions. mihad 7981d 00h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
26 Modified testbench and fixed some bugs mihad 8164d 17h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
21 Repaired a few bugs, updated specification, added test bench files and design document mihad 8182d 18h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
6 Updated all files with inclusion of timescale file for simulation purposes. mihad 8302d 01h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v
2 New project directory structure mihad 8304d 18h /pci/tags/rel_8/rtl/verilog/pci_target32_sm.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.