OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [tags/] [V3_0/] [vhdl/] [reg_bank.vhd] - Rev 402

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
352 linus 5585d 11h /plasma/tags/V3_0/vhdl/reg_bank.vhd
350 root 5614d 06h /plasma/tags/V3_0/vhdl/reg_bank.vhd
140 This commit was manufactured by cvs2svn to create tag 'V3_0'. 6714d 19h /plasma/tags/V3_0/vhdl/reg_bank.vhd
139 Major changes -- updated to Plasma Version 3 rhoads 6714d 19h /plasma/tags/V3_0/vhdl/reg_bank.vhd
132 Changed "GENERIC" string to "DEFAULT" to be Xilinx friendly. rhoads 7194d 17h /plasma/tags/V3_0/vhdl/reg_bank.vhd
128 Reset all registers, constants now upper case. rhoads 7332d 05h /plasma/tags/V3_0/vhdl/reg_bank.vhd
123 Uncomment out the Altera portion. Xilinx users may need to re-comment out this section. rhoads 7417d 19h /plasma/tags/V3_0/vhdl/reg_bank.vhd
115 Matthias Grunewald's changes for Xilinx FPGA dual-port RAM. rhoads 7531d 19h /plasma/tags/V3_0/vhdl/reg_bank.vhd
108 changed interrupt vector from 0x30 to 0x3c rhoads 7805d 15h /plasma/tags/V3_0/vhdl/reg_bank.vhd
88 Cleanup spaces rhoads 8056d 16h /plasma/tags/V3_0/vhdl/reg_bank.vhd
74 pause in rhoads 8064d 17h /plasma/tags/V3_0/vhdl/reg_bank.vhd
55 Altera rhoads 8072d 22h /plasma/tags/V3_0/vhdl/reg_bank.vhd
48 Altera rhoads 8083d 18h /plasma/tags/V3_0/vhdl/reg_bank.vhd
47 Altera rhoads 8090d 19h /plasma/tags/V3_0/vhdl/reg_bank.vhd
43 Renamed M-lite to Plasma rhoads 8170d 19h /plasma/tags/V3_0/vhdl/reg_bank.vhd
39 Changed name to M-lite to avoid trademark issues. rhoads 8203d 00h /plasma/tags/V3_0/vhdl/reg_bank.vhd
24 Disable interrupts upon reset. rhoads 8227d 17h /plasma/tags/V3_0/vhdl/reg_bank.vhd
12 Better support for dual-port memories, removed old method rhoads 8233d 17h /plasma/tags/V3_0/vhdl/reg_bank.vhd
9 Support for generic_tpram dual-port RAM rhoads 8238d 21h /plasma/tags/V3_0/vhdl/reg_bank.vhd
8 Preparing to use dual-port memory for registers. rhoads 8239d 18h /plasma/tags/V3_0/vhdl/reg_bank.vhd

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.