OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [mlite_pack.vhd] - Rev 372

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
352 linus 5585d 17h /plasma/trunk/vhdl/mlite_pack.vhd
350 root 5614d 12h /plasma/trunk/vhdl/mlite_pack.vhd
346 Support optional 4KB cache rhoads 5682d 08h /plasma/trunk/vhdl/mlite_pack.vhd
332 Updated Altera lpm_ram_dp rhoads 5743d 02h /plasma/trunk/vhdl/mlite_pack.vhd
285 Added eth_dma rhoads 6022d 02h /plasma/trunk/vhdl/mlite_pack.vhd
264 Latch address and byte_we in mem_ctrl.vhd rhoads 6065d 11h /plasma/trunk/vhdl/mlite_pack.vhd
202 Defined outputing PC as stage #0 rhoads 6304d 12h /plasma/trunk/vhdl/mlite_pack.vhd
194 Implemented BREAK and SYSCALL opcodes rhoads 6369d 08h /plasma/trunk/vhdl/mlite_pack.vhd
139 Major changes -- updated to Plasma Version 3 rhoads 6715d 01h /plasma/trunk/vhdl/mlite_pack.vhd
132 Changed "GENERIC" string to "DEFAULT" to be Xilinx friendly. rhoads 7194d 23h /plasma/trunk/vhdl/mlite_pack.vhd
128 Reset all registers, constants now upper case. rhoads 7332d 10h /plasma/trunk/vhdl/mlite_pack.vhd
125 Fixed pc_source_type comment. rhoads 7351d 00h /plasma/trunk/vhdl/mlite_pack.vhd
116 Matthias Grunewald's changes to use tri-state for smaller Xilinx FPGA. rhoads 7532d 00h /plasma/trunk/vhdl/mlite_pack.vhd
96 Simplify take_branch rhoads 8055d 04h /plasma/trunk/vhdl/mlite_pack.vhd
91 Removed unused alu_function_type entries rhoads 8056d 22h /plasma/trunk/vhdl/mlite_pack.vhd
70 pipeline rhoads 8064d 23h /plasma/trunk/vhdl/mlite_pack.vhd
62 updated LPM functions; mem_none->mem_fetch rhoads 8073d 04h /plasma/trunk/vhdl/mlite_pack.vhd
50 Update prototypes rhoads 8083d 23h /plasma/trunk/vhdl/mlite_pack.vhd
47 Altera rhoads 8091d 00h /plasma/trunk/vhdl/mlite_pack.vhd
44 Fixed signed 64-bit multiply rhoads 8168d 13h /plasma/trunk/vhdl/mlite_pack.vhd

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.