OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [plasma.vhd] - Rev 384

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
383 Permit up to 64KB internal RAM and updated cache code. rhoads 5097d 21h /plasma/trunk/vhdl/plasma.vhd
356 Added space to align text rhoads 5551d 18h /plasma/trunk/vhdl/plasma.vhd
352 linus 5600d 11h /plasma/trunk/vhdl/plasma.vhd
350 root 5629d 06h /plasma/trunk/vhdl/plasma.vhd
346 Support optional 4KB cache rhoads 5697d 02h /plasma/trunk/vhdl/plasma.vhd
329 Fix interrupt line comment rhoads 5909d 19h /plasma/trunk/vhdl/plasma.vhd
286 Added eth_dma rhoads 6036d 19h /plasma/trunk/vhdl/plasma.vhd
264 Latch address and byte_we in mem_ctrl.vhd rhoads 6080d 05h /plasma/trunk/vhdl/plasma.vhd
186 Change memory_type to "XILINX_16X" rhoads 6400d 19h /plasma/trunk/vhdl/plasma.vhd
184 Fix comment rhoads 6415d 22h /plasma/trunk/vhdl/plasma.vhd
139 Major changes -- updated to Plasma Version 3 rhoads 6729d 19h /plasma/trunk/vhdl/plasma.vhd
105 better test mem_pause rhoads 7823d 18h /plasma/trunk/vhdl/plasma.vhd
55 Altera rhoads 8087d 22h /plasma/trunk/vhdl/plasma.vhd
48 Altera rhoads 8098d 18h /plasma/trunk/vhdl/plasma.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.