OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [sqrt32.vhd] - Rev 194

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
158 Changing std_logic_vector types to my custom far more convinients xfloat32\! jguarin2002 4518d 17h /raytrac/branches/fp/sqrt32.vhd
153 last modifications for tb_compiler.py compliance jguarin2002 4525d 09h /raytrac/branches/fp/sqrt32.vhd
152 Test bench oriented modifications jguarin2002 4529d 10h /raytrac/branches/fp/sqrt32.vhd
151 Previous Work to generate test benching jguarin2002 4588d 06h /raytrac/branches/fp/fsqrt32.vhd
150 First Beta of RayTrac for a total size of 3874 lcells. Great Result\! jguarin2002 4602d 03h /raytrac/branches/fp/fsqrt32.vhd
139 Sync jguarin2002 4712d 18h /raytrac/branches/fp/fsqrt32.vhd
137 Syncing with enables and eleminated all the register outputs since none block should carry on a register output jguarin2002 4723d 10h /raytrac/branches/fp/fsqrt32.vhd
121 taking out std_logic_arith from sight.... no conversions allowed jguarin2002 4768d 22h /raytrac/branches/fp/fsqrt32.vhd
118 fp beta version reached a 17,5% logic cell starting at 450 LEs and finishing in 371 LEs for fadd32 jguarin2002 4775d 09h /raytrac/branches/fp/fsqrt32.vhd
104 Square Root and inversion support jguarin2002 4806d 07h /fsqrt32.vhd
103 Square Root Ok jguarin2002 4806d 14h /fsqrt32.vhd
99 Square Root... comin sun.... jguarin2002 4808d 04h /fsqrt32.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.