OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] [ver/] [sq_opn_basic.v] - Rev 21

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
20 Correct attenuation for total level parameter gryzor 4053d 00h /sqmusic/trunk/ver/sq_opn_basic.v
19 Sine generator + pow module with basic functionality verified gryzor 4053d 04h /sqmusic/trunk/ver/sq_opn_basic.v
18 WIP gryzor 4053d 10h /sqmusic/trunk/ver/sq_opn_basic.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.