OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [rtl/] [port_ring_tap.v] - Rev 30

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 Added absolute priority arbitration to ring to avoid
having two ring taps transmit at same time
ghutchis 5287d 08h /srdydrdy_lib/trunk/examples/bridge/rtl/port_ring_tap.v
11 Updated bridge example to fix a number of small bugs.
First packet now exits bridge from all ports.
ghutchis 5288d 07h /srdydrdy_lib/trunk/examples/bridge/rtl/port_ring_tap.v
8 Added compiling version of bridge example ghutchis 5290d 00h /srdydrdy_lib/trunk/examples/bridge/rtl/port_ring_tap.v
5 Added new component for port ring ghutchis 5295d 04h /srdydrdy_lib/trunk/examples/bridge/rtl/port_ring_tap.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.