OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_0_2_beta/] [rtl/] [vhdl/] [decoder.vhd] - Rev 294

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
292 New directory structure. root 5662d 16h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
252 This commit was manufactured by cvs2svn to create tag 'rel_0_2_beta'. 6633d 00h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
106 clean-up use of ea_i arniml 7417d 04h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
101 assert p2_read_p2_o when expander port is read arniml 7420d 11h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
92 work around bug in Quartus II 4.0 arniml 7421d 10h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
78 adjust external timing of BUS arniml 7442d 09h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
72 removed superfluous signal from sensitivity list arniml 7443d 14h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
64 + enhance instruction strobe generation
+ rework address output under EA=1 conditions
arniml 7449d 07h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
60 + add marker for injected calls
+ suppress intstruction strobes for injected calls
arniml 7452d 03h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
53 make istrobe visible through testbench package arniml 7453d 05h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
45 remove unused signals arniml 7460d 03h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
38 add measures to implement XCHD arniml 7463d 11h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
27 implemented mnemonic DA arniml 7470d 04h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
21 implement mnemonics:
+ MOVD_A_PP
+ OUTD_PP_A -> ANLD PP, A; MOVD PP, A; ORLD PP, A
arniml 7470d 12h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd
4 initial check-in arniml 7475d 04h /t48/tags/rel_0_2_beta/rtl/vhdl/decoder.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.