OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_2/] [rtl/] [vhdl/] [timer.vhd] - Rev 300

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
300 maintenance release for svn updates arniml 5583d 17h /t48/tags/rel_1_2/rtl/vhdl/timer.vhd
295 - remove unsupported CVS tags
- propset for Id
arniml 5640d 20h /t48/tags/rel_1_2/rtl/vhdl/timer.vhd
292 New directory structure. root 5663d 06h /t48/tags/rel_1_2/rtl/vhdl/timer.vhd
273 reset counter_q arniml 6494d 01h /t48/tags/rel_1_2/rtl/vhdl/timer.vhd
179 introduce prefix 't48_' for all packages, entities and configurations arniml 7031d 06h /t48/tags/rel_1_2/rtl/vhdl/timer.vhd
129 cleanup copyright notice arniml 7365d 23h /t48/tags/rel_1_2/rtl/vhdl/timer.vhd
128 counter_q is not cleared during reset
this would match all different descriptions of the Counter as
a) if the software assumes that the Counter is modified during reset, it
will initialize the Counter anyhow
b) the special case 'Counter not modified during reset' is covered
arniml 7373d 03h /t48/tags/rel_1_2/rtl/vhdl/timer.vhd
91 fix edge detector bug for counter arniml 7422d 00h /t48/tags/rel_1_2/rtl/vhdl/timer.vhd
59 increment prescaler with MSTATE4 arniml 7452d 18h /t48/tags/rel_1_2/rtl/vhdl/timer.vhd
4 initial check-in arniml 7475d 18h /t48/tags/rel_1_2/rtl/vhdl/timer.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.