OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_4/] [rtl/] [vhdl/] [system/] [wb_master.vhd] - Rev 344

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
344 release 1.4 arniml 593d 22h /t48/tags/rel_1_4/rtl/vhdl/system/wb_master.vhd
295 - remove unsupported CVS tags
- propset for Id
arniml 5642d 20h /t48/tags/rel_1_4/rtl/vhdl/system/wb_master.vhd
292 New directory structure. root 5665d 06h /t48/tags/rel_1_4/rtl/vhdl/system/wb_master.vhd
180 introduce prefix 't48_' for wb_master entity and configuration arniml 7033d 05h /t48/tags/rel_1_4/rtl/vhdl/system/wb_master.vhd
172 save data from wishbone bus in register bank with wb_ack
necessary to hold data from peripheral/memory until it is read by T48
arniml 7064d 17h /t48/tags/rel_1_4/rtl/vhdl/system/wb_master.vhd
167 simplify address range:
- configuration range
- Wishbone range
arniml 7067d 05h /t48/tags/rel_1_4/rtl/vhdl/system/wb_master.vhd
166 assign default for state_s arniml 7068d 21h /t48/tags/rel_1_4/rtl/vhdl/system/wb_master.vhd
164 initial check-in arniml 7069d 20h /t48/tags/rel_1_4/rtl/vhdl/system/wb_master.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.