OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [fetch.vhd] - Rev 22

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
22 Added to process sensitivity list to avoid warning and added ELSE for IR so it doesn't generate a latch earlz 4401d 06h /tinycpu/trunk/src/fetch.vhd
21 The core_tb testbench finally passes. It probably doesn't synthesize, or even pass other testbenches, but it passes that one damn it. earlz 4401d 06h /tinycpu/trunk/src/fetch.vhd
17 Added fetch component for fetching from memory to instruction register
Added additional testing for carryover to make sure it's correct
earlz 4406d 07h /tinycpu/trunk/src/fetch.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.