OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [xst/] [work/] [sub00/] [vhpl01.vho] - Rev 35

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
32 Change baud generator to create a overclock frequency of 8x the baud rate....
Change the serial receiver to sample the signal on the middle of the serial input, now it's using only the overclocked baud...
leonardoaraujo.santos 4535d 00h /uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho
27 First version seems working nice on the PC!!! leonardoaraujo.santos 4535d 14h /uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho
24 Working on testbench and refactoring... now we could start some tests on the board... leonardoaraujo.santos 4536d 20h /uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho
21 Preparing to rewrite uart_control, adding pin to indicate data available at the RX leonardoaraujo.santos 4537d 06h /uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho
19 Working on the top wishbone slave testbench.... still need some fixes (Both on the testbench and on the uart_control.vhd) leonardoaraujo.santos 4537d 14h /uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho
15 Taking out some warnings and transparent latches from the design leonardoaraujo.santos 4538d 00h /uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho
11 Adding uart_communication_block leonardoaraujo.santos 4539d 00h /uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho
6 Adding baud generator leonardoaraujo.santos 4540d 23h /uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho
4 Working on receiver leonardoaraujo.santos 4548d 01h /uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho
2 Starting here .... leonardoaraujo.santos 4548d 03h /uart_block/trunk/hdl/iseProject/xst/work/sub00/vhpl01.vho

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.