OpenCores
URL https://opencores.org/ocsvn/altor32/altor32/trunk

Subversion Repositories altor32

[/] [altor32/] [trunk/] [rtl/] [cpu/] [altor32_defs.v] - Rev 44

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
44 Add single step support ultra_embedded 3641d 18h /altor32/trunk/rtl/cpu/altor32_defs.v
39 Bug fix interrupt handling after last update. ultra_embedded 3660d 18h /altor32/trunk/rtl/cpu/altor32_defs.v
37 - Add icarus sim test
- Adopt consistent naming scheme
- Simplify instruction cache
ultra_embedded 3670d 02h /altor32/trunk/rtl/cpu/altor32_defs.v
36 Various performance improvements and bug fixes. ultra_embedded 3675d 15h /altor32/trunk/rtl/cpu/altor32_defs.v
31 Improvements to the execute stage logic. ultra_embedded 3788d 19h /altor32/trunk/rtl/cpu/altor32_defs.v
27 Initial drop of AltOR32 v2 ultra_embedded 3873d 19h /altor32/trunk/rtl/cpu/altor32_defs.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.