OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] - Rev 8

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
8 test bench added, along with weight and bias initialization and some bug fixes jstefanowicz 2609d 22h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/
7 ojosynariz 2745d 21h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/
6 ojosynariz 2875d 17h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/
3 import documentation and Vivado's wrapper ojosynariz 2875d 23h /artificial_neural_network/trunk/ANN_kernel/RTL_VHDL_files/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.