OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk] - Rev 11

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
11 adder_tree component declaration included in adder_tree.vhd to solve the ghdl compiler error jstefanowicz 2590d 15h /artificial_neural_network/trunk
10 Reverted the af_sigmoid files for backward compatibility, and created the af_sigmoid_mat.vhd file based on af_sigmoid2.vhd from revision 9. This activation function is fully compatible with matlabs tansig function. jstefanowicz 2616d 14h /artificial_neural_network/trunk
9 Changes from rev 7 included jstefanowicz 2622d 17h /artificial_neural_network/trunk
8 test bench added, along with weight and bias initialization and some bug fixes jstefanowicz 2622d 18h /artificial_neural_network/trunk
7 ojosynariz 2758d 17h /artificial_neural_network/trunk
6 ojosynariz 2888d 13h /artificial_neural_network/trunk
5 Duplicated ojosynariz 2888d 13h /artificial_neural_network/trunk
4 Duplicated ojosynariz 2888d 13h /artificial_neural_network/trunk
3 import documentation and Vivado's wrapper ojosynariz 2888d 19h /artificial_neural_network/trunk
2 kernel files first import ojosynariz 2888d 19h /artificial_neural_network/trunk
1 The project and the structure was created root 2889d 16h /artificial_neural_network/trunk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.