OpenCores
URL https://opencores.org/ocsvn/debouncer_vhdl/debouncer_vhdl/trunk

Subversion Repositories debouncer_vhdl

[/] [debouncer_vhdl/] [trunk/] [bench/] [grp_debouncer.vhd] - Rev 10

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
10 v1.01.0030: changed internal counter range to (CNT_VAL+1) to avoid adder flip-over. jdoin 4603d 21h /debouncer_vhdl/trunk/bench/grp_debouncer.vhd
9 Clarified the licensing.
Added SVN directory for the license text.
Changed the LGPL url at the rtl code header.
Included the LGPL 3.0 text "lgpl.txt"
jdoin 4624d 01h /debouncer_vhdl/trunk/bench/grp_debouncer.vhd
3 v1.01.0025 [JD]:
- added a pipeline delay for new data strobe output.
- included a complete verification project, for simulation and FPGA verification.
jdoin 4643d 16h /debouncer_vhdl/trunk/bench/grp_debouncer.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.