OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] - Rev 8

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
8 * Added a testbench for bus_register_x16
* Corrected bus_register_x16, it no longer uses a bus_access_x16
leoel 5288d 16h /
7 * Corrected bus_access_x16 (tristate): now it synthetises correctly
* Added code for the bus_register_x16 (tristate 16 bit register)
leoel 5288d 17h /
6 Wired of the processor finished for the moment leoel 5288d 18h /
5 * Removed an old unused file
* Corrected syntax errors in the code, but the mini_uP_x16 is not completely wired yet
* Commented the libraries
leoel 5288d 18h /
4 Reorganized the directories leoel 5288d 18h /
3 Added my name on the files leoel 5288d 19h /
2 * ALU works correctly
* uP doesn't work for the moment
leoel 5288d 20h /
1 The project and the structure was created root 5288d 20h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.