OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] - Rev 333

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
333 update TODO hellwig 2721d 06h /eco32/
332 update COPYING hellwig 2782d 07h /eco32/
331 machine monitor: init kbd and dsp only if explicitly requested hellwig 2782d 20h /eco32/
330 sim/getline/testgl.c: return type of main() changed to int hellwig 2782d 21h /eco32/
329 lcc/lburg/gram.y: prototype for yylex() added hellwig 2782d 21h /eco32/
328 lcc/etc/lcc.c: return type of main() changed to int hellwig 2782d 21h /eco32/
327 flag -m32 in compilation of vcdchk deleted hellwig 3050d 07h /eco32/
326 RAM simulation access times set to realistic values hellwig 3161d 01h /eco32/
325 memory speed measurement for new controller added hellwig 3169d 17h /eco32/
324 README updated hellwig 3169d 18h /eco32/
323 memspeed renamed to memspeed-1 hellwig 3169d 18h /eco32/
322 README updated, Makefile added hellwig 3170d 05h /eco32/
321 README updated hellwig 3170d 06h /eco32/
320 README updated hellwig 3171d 02h /eco32/
319 memory controller 2, FPGA realization hellwig 3171d 07h /eco32/
318 memory controller 1, FPGA realization hellwig 3171d 07h /eco32/
317 README updated hellwig 3171d 22h /eco32/
316 README added hellwig 3172d 01h /eco32/
315 README added hellwig 3172d 01h /eco32/
314 memory controller simulation 2 hellwig 3172d 03h /eco32/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.