OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] - Rev 365

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
365 Whitespace cleanup olof 4649d 21h /
364 Renamed eth_top.v to ethmac.v to fit better into OpenCores structure olof 4650d 19h /
363 quartus project files unneback 4651d 03h /
362 added Makefiles to build project unneback 4651d 04h /
361 created branch unneback unneback 4651d 04h /
360 Added partial implementation of the debug register from ORPSoC olof 4652d 02h /
359 Verilator linting fixes olof 4654d 05h /
358 Rename do to dato to avoid conflict with SystemVerilog (inherited from Julius Baxter's ORPSoC version olof 4655d 19h /
357 Bit width, assignment and white space fixes by Julius Baxter, inherited from ORPSoC olof 4655d 19h /
356 Rename eth_defines.v to ethmac_defines.v to fit better into OpenCores project structure olof 4655d 21h /
355 Import Julius Baxter's verilator hints from ORPSoC olof 4655d 21h /
354 Whitespace cleanup olof 4655d 22h /
353 Inherit fixes for bit width of constants from ORPSoC olof 4657d 23h /
352 Removed delayed assignments from rtl code olof 4662d 05h /
351 Turn defines into parameters in eth_cop olof 4670d 19h /
350 Turn M[1-2]_ADDRESSED_S[1-2] defines into wires olof 4670d 20h /
349 Make all parameters configurable from top level olof 4671d 20h /
348 Added option to dump VCD files olof 4672d 19h /
347 Added information about running with Icarus Verilog olof 4672d 20h /
346 Updated project location olof 4672d 22h /
345 Temporarily disable failing tests olof 4673d 00h /
344 bit 9 in phy control register is self clearing olof 4679d 02h /
343 Address miss should not be asserted on short frames olof 4682d 22h /
342 Added cast to avoid inequality when comparing different data types olof 4682d 22h /
341 Reset AdressMiss signal on new frames to prevent reporting the old status if new frame is short olof 4682d 22h /
340 Don't fail if log dir already exists olof 4683d 19h /
339 Added basic support for Icarus Verilog olof 4684d 19h /
338 root 5477d 00h /
337 root 5533d 02h /
336 Added old uploaded documents to new repository. root 5534d 06h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.