OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [branches/] [unneback/] [rtl/] [verilog/] [eth_spram_256x32.v] - Rev 361

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
361 created branch unneback unneback 4643d 15h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
352 Removed delayed assignments from rtl code olof 4654d 17h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
346 Updated project location olof 4665d 10h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
338 root 5469d 12h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
335 New directory structure. root 5526d 17h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
330 Warning fixes. igorm 7003d 14h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
312 Corrected address mismatch for xilinx RAMB4_S8 model which has wider address than RAMB4_S16. tadejm 7447d 14h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
306 Lapsus fixed (!we -> ~we). simons 7448d 12h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
304 WISHBONE slave changed and tested from only 32-bit accesss to byte access. tadejm 7470d 09h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
302 mbist signals updated according to newest convention markom 7496d 19h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
297 Artisan ram instance added. simons 7560d 10h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
227 Changed BIST scan signals. tadejm 7860d 10h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
210 BIST added. mohor 7868d 11h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
204 ETH_VIRTUAL_SILICON_RAM supported (for ASIC implementation). mohor 7885d 09h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v
122 ethernet spram added. So far a generic ram and xilinx RAMB4 are used. mohor 7947d 11h /ethmac/branches/unneback/rtl/verilog/eth_spram_256x32.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.