OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] - Rev 357

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
357 Bit width, assignment and white space fixes by Julius Baxter, inherited from ORPSoC olof 4676d 10h /ethmac/trunk
356 Rename eth_defines.v to ethmac_defines.v to fit better into OpenCores project structure olof 4676d 12h /ethmac/trunk
355 Import Julius Baxter's verilator hints from ORPSoC olof 4676d 13h /ethmac/trunk
354 Whitespace cleanup olof 4676d 13h /ethmac/trunk
353 Inherit fixes for bit width of constants from ORPSoC olof 4678d 15h /ethmac/trunk
352 Removed delayed assignments from rtl code olof 4682d 20h /ethmac/trunk
351 Turn defines into parameters in eth_cop olof 4691d 10h /ethmac/trunk
350 Turn M[1-2]_ADDRESSED_S[1-2] defines into wires olof 4691d 11h /ethmac/trunk
349 Make all parameters configurable from top level olof 4692d 11h /ethmac/trunk
348 Added option to dump VCD files olof 4693d 10h /ethmac/trunk
347 Added information about running with Icarus Verilog olof 4693d 11h /ethmac/trunk
346 Updated project location olof 4693d 13h /ethmac/trunk
345 Temporarily disable failing tests olof 4693d 15h /ethmac/trunk
344 bit 9 in phy control register is self clearing olof 4699d 17h /ethmac/trunk
343 Address miss should not be asserted on short frames olof 4703d 13h /ethmac/trunk
342 Added cast to avoid inequality when comparing different data types olof 4703d 13h /ethmac/trunk
341 Reset AdressMiss signal on new frames to prevent reporting the old status if new frame is short olof 4703d 13h /ethmac/trunk
340 Don't fail if log dir already exists olof 4704d 11h /ethmac/trunk
339 Added basic support for Icarus Verilog olof 4705d 10h /ethmac/trunk
338 root 5497d 16h /ethmac/trunk

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.