OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] - Rev 367

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
367 Remove Wishbone B3 define. For classic wishbone, these ports can just be ignored olof 4517d 08h /ethmac/trunk/
366 Readded eth_top.v with a deprecation warning olof 4641d 11h /ethmac/trunk/
365 Whitespace cleanup olof 4642d 11h /ethmac/trunk/
364 Renamed eth_top.v to ethmac.v to fit better into OpenCores structure olof 4643d 08h /ethmac/trunk/
360 Added partial implementation of the debug register from ORPSoC olof 4644d 16h /ethmac/trunk/
359 Verilator linting fixes olof 4646d 18h /ethmac/trunk/
358 Rename do to dato to avoid conflict with SystemVerilog (inherited from Julius Baxter's ORPSoC version olof 4648d 08h /ethmac/trunk/
357 Bit width, assignment and white space fixes by Julius Baxter, inherited from ORPSoC olof 4648d 08h /ethmac/trunk/
356 Rename eth_defines.v to ethmac_defines.v to fit better into OpenCores project structure olof 4648d 10h /ethmac/trunk/
355 Import Julius Baxter's verilator hints from ORPSoC olof 4648d 11h /ethmac/trunk/
354 Whitespace cleanup olof 4648d 11h /ethmac/trunk/
353 Inherit fixes for bit width of constants from ORPSoC olof 4650d 13h /ethmac/trunk/
352 Removed delayed assignments from rtl code olof 4654d 19h /ethmac/trunk/
351 Turn defines into parameters in eth_cop olof 4663d 08h /ethmac/trunk/
350 Turn M[1-2]_ADDRESSED_S[1-2] defines into wires olof 4663d 09h /ethmac/trunk/
349 Make all parameters configurable from top level olof 4664d 10h /ethmac/trunk/
348 Added option to dump VCD files olof 4665d 09h /ethmac/trunk/
347 Added information about running with Icarus Verilog olof 4665d 09h /ethmac/trunk/
346 Updated project location olof 4665d 11h /ethmac/trunk/
345 Temporarily disable failing tests olof 4665d 13h /ethmac/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.