OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [rtl/] - Rev 358

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
358 Rename do to dato to avoid conflict with SystemVerilog (inherited from Julius Baxter's ORPSoC version olof 4654d 21h /ethmac/trunk/rtl/
357 Bit width, assignment and white space fixes by Julius Baxter, inherited from ORPSoC olof 4654d 21h /ethmac/trunk/rtl/
356 Rename eth_defines.v to ethmac_defines.v to fit better into OpenCores project structure olof 4654d 23h /ethmac/trunk/rtl/
355 Import Julius Baxter's verilator hints from ORPSoC olof 4654d 23h /ethmac/trunk/rtl/
354 Whitespace cleanup olof 4655d 00h /ethmac/trunk/rtl/
353 Inherit fixes for bit width of constants from ORPSoC olof 4657d 01h /ethmac/trunk/rtl/
352 Removed delayed assignments from rtl code olof 4661d 07h /ethmac/trunk/rtl/
351 Turn defines into parameters in eth_cop olof 4669d 21h /ethmac/trunk/rtl/
350 Turn M[1-2]_ADDRESSED_S[1-2] defines into wires olof 4669d 22h /ethmac/trunk/rtl/
349 Make all parameters configurable from top level olof 4670d 22h /ethmac/trunk/rtl/
346 Updated project location olof 4672d 00h /ethmac/trunk/rtl/
341 Reset AdressMiss signal on new frames to prevent reporting the old status if new frame is short olof 4682d 00h /ethmac/trunk/rtl/
338 root 5476d 02h /ethmac/trunk/rtl/
335 New directory structure. root 5533d 08h /ethmac/trunk/rtl/
333 Some small fixes + some troubles fixed. igorm 6981d 21h /ethmac/trunk/rtl/
332 Case statement improved for synthesys. igorm 6995d 03h /ethmac/trunk/rtl/
330 Warning fixes. igorm 7010d 05h /ethmac/trunk/rtl/
329 Defer indication fixed. igorm 7010d 06h /ethmac/trunk/rtl/
328 Delayed CRC fixed. igorm 7010d 06h /ethmac/trunk/rtl/
327 Defer indication fixed. igorm 7010d 06h /ethmac/trunk/rtl/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.