OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [src/] [fade_one_channel.vhd] - Rev 42

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
42 KC705 design upgraded to Vivado 2016.4
Corrrected indentation in a few files in AFCK design
wzab 2670d 01h /fade_ether_protocol/trunk/stable_jumbo_frames_version/fpga/src/fade_one_channel.vhd
40 The "jumbo frame version" renamed from "experimental" to "stable". wzab 2670d 07h /fade_ether_protocol/trunk/stable_jumbo_frames_version/fpga/src/fade_one_channel.vhd
27 Added file fade_one_channel, allowing to implement multiple FADE instances in a single FPGA. wzab 3435d 09h /fade_ether_protocol/trunk/stable_jumbo_frames_version/fpga/src/fade_one_channel.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.