OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [posit_test_bench/] [intToPosit_tvo32.txt] - Rev 46

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
46 - improved posit to int rounding fractions robfinch 1298d 16h /ft816float/trunk/posit_test_bench/intToPosit_tvo32.txt
45 - add posit to integer function robfinch 1298d 18h /ft816float/trunk/posit_test_bench/intToPosit_tvo32.txt

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.