OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] [3.0/] [ip_xact/] [hibi_segment.3.0.xml] - Rev 174

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
174 Set priority generics values for hibi_wrappers in hibi segment designs lanttu 4055d 22h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.3.0.xml
151 - Basic tester example fixed.
- Added default values to HIBI segment
lanttu 4193d 13h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.3.0.xml
149 HIBI fixed with port default values. lanttu 4193d 14h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.3.0.xml
147 Updated Nios and ublaze cpu component vendors from TUT to Altera and Xilinx.
Updated all designs usign these cpu components.
lanttu 4274d 15h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.3.0.xml
145 Funbase IP library refactored to be compatible with Kactus2 version 2.0 lanttu 4333d 13h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.3.0.xml

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.