OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] [3.0/] [vhd/] [hibi_segment.vhd] - Rev 174

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
174 Set priority generics values for hibi_wrappers in hibi segment designs lanttu 4056d 00h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/hibi_segment.vhd
158 fixed bugs in hibi_segment_6p lanttu 4112d 21h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/hibi_segment.vhd
149 HIBI fixed with port default values. lanttu 4193d 16h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/hibi_segment.vhd
145 Funbase IP library refactored to be compatible with Kactus2 version 2.0 lanttu 4333d 15h /funbase_ip_library/trunk/TUT/ip.hwp.communication/hibi/3.0/vhd/hibi_segment.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.