OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-fw/] [firmware/] [include/] [gecko3com_regs.h] - Rev 32

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
32 fixed a lot of warnings when building the doxygen firmware documentation ("make doc") nussgipfel 5185d 19h /gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/include/gecko3com_regs.h
20 basic synchronous IN (fpga to host) transfer works.

detail changes:
-the scpi command "fpga:data" checks now, if the fpga is configured before it changes the context to the fpga. if the fpga is
not configured, it returns an "EXECUTE ERROR".
in the same way, the main_loop checks if the fpga looses his configuration. it disables the GPIF, switches the context back
to the fx2 if so. this is mainly to avoid undeterministic behaviour if you reconfigure the fpga via jtag.

-introduced the new signal "EOM" end of message from the "usb tmc protokoll interpreter" to the gpif_com module

-changed the GPIF waveform for the FIFO IN transfer to the new scheme.

-implemented the same waveform into the gpif_com_fsm.vhd. works well together.

-bugfixed the gpif_com_test.vhd. sends the new EOM signal, the response message generator works now as it should.

-added the missing AUTHORS README and COPYING (license) files to the core directory.
nussgipfel 5226d 13h /gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/include/gecko3com_regs.h
9 First commit to the OC svn.

This is the release candidate for the GECKO3COM firmware version 0.4.

Included are all sources, scripts and helper tools.

Implemented functionality to this firmware version:
- FW upgrade through USB DFU class
- works as a USB TMC device (test and measurement class)
- handles all mandatory IEEE488 and SCPI commands
- fpga can be configured through USB
- two different fpga configuration files can be downloaded the the onboard memory
- loads one of these configuration files during power on

next step is to implement the transparent data communication between the USB host and the FPGA
nussgipfel 5297d 20h /gecko3/trunk/GECKO3COM/gecko3com-fw/firmware/include/gecko3com_regs.h

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.