OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] - Rev 7

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
7 changed to mit license
added additional curl for mid state
microengineer 2167d 08h /iota_pow_vhdl/
6 output file for altera de1 microengineer 2193d 04h /iota_pow_vhdl/
5 some optimisations - about 20MHz higher speed for cyclone 2 and about 35MHz for cyclone 10 LP microengineer 2193d 04h /iota_pow_vhdl/
4 update with major improvements reaching now ~12MH/s microengineer 2196d 04h /iota_pow_vhdl/
3 added images microengineer 2204d 06h /iota_pow_vhdl/
2 altera de1 version of pearl diver microengineer 2204d 06h /iota_pow_vhdl/
1 The project and the structure was created root 2204d 20h /iota_pow_vhdl/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.