OpenCores
URL https://opencores.org/ocsvn/lfsr_randgen/lfsr_randgen/trunk

Subversion Repositories lfsr_randgen

[/] [lfsr_randgen/] - Rev 4

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
4 chnges to testbench code lal87 2822d 00h /lfsr_randgen/
3 lal87 5001d 23h /lfsr_randgen/
2 V1 - for lfsr sizes from 3 to 168 bit. lal87 5018d 03h /lfsr_randgen/
1 The project and the structure was created root 5023d 04h /lfsr_randgen/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.