OpenCores
URL https://opencores.org/ocsvn/lfsr_randgen/lfsr_randgen/trunk

Subversion Repositories lfsr_randgen

[/] [lfsr_randgen/] [trunk/] - Rev 4

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
4 chnges to testbench code lal87 2823d 06h /lfsr_randgen/trunk/
3 lal87 5003d 06h /lfsr_randgen/trunk/
2 V1 - for lfsr sizes from 3 to 168 bit. lal87 5019d 10h /lfsr_randgen/trunk/
1 The project and the structure was created root 5024d 10h /lfsr_randgen/trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.