OpenCores
URL https://opencores.org/ocsvn/matrix3x3/matrix3x3/trunk

Subversion Repositories matrix3x3

[/] [matrix3x3/] [trunk/] - Rev 8

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
8 New directory structure. root 5532d 09h /matrix3x3/trunk/
7 Matrix 3x3 and Color Convertion projects were separated. Files were renamed. michland 6275d 10h /trunk/
6 Add licensing information michland 6275d 11h /trunk/
5 was added factors for computer RGB to YCgCo conversion and for YCgCo
to computer RGB conversion
vv_gulyaev 6419d 13h /trunk/
4 was error in shift register vv_gulyaev 6469d 16h /trunk/
2 The first release. We hope it'll be usefull. michland 6470d 11h /trunk/
1 Standard project directories initialized by cvs2svn. 6470d 11h /trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.