OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] - Rev 63

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
43 minor changes budinero 5393d 12h /modular_oscilloscope/trunk/
42 minor changes budinero 5393d 12h /modular_oscilloscope/trunk/
41 minor changes budinero 5393d 12h /modular_oscilloscope/trunk/
40 minor changes budinero 5393d 13h /modular_oscilloscope/trunk/
39 Added a read flag for each channel and adc_clk_I input budinero 5393d 13h /modular_oscilloscope/trunk/
38 updated control budinero 5393d 13h /modular_oscilloscope/trunk/
37 updated control budinero 5393d 13h /modular_oscilloscope/trunk/
36 added test benches budinero 5408d 01h /modular_oscilloscope/trunk/
35 new architecture budinero 5408d 01h /modular_oscilloscope/trunk/
34 name changes budinero 5408d 02h /modular_oscilloscope/trunk/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.