OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [async_ser_rx.vhd] - Rev 321

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
321 Fixed issue with parity flag in receiver sticking jshamlet 330d 18h /open8_urisc/trunk/VHDL/async_ser_rx.vhd
295 Undoing previous revision. UART was fine, bug reporter was not. jshamlet 963d 21h /open8_urisc/trunk/VHDL/async_ser_rx.vhd
294 Fixed an ancient bug in the parity logic that had the parity inverted. jshamlet 964d 02h /open8_urisc/trunk/VHDL/async_ser_rx.vhd
220 More revision sections added jshamlet 1480d 21h /open8_urisc/trunk/VHDL/async_ser_rx.vhd
218 Revision sections added,
vdsm8.vhd added.
jshamlet 1480d 21h /open8_urisc/trunk/VHDL/async_ser_rx.vhd
215 More code cleanup jshamlet 1481d 00h /open8_urisc/trunk/VHDL/async_ser_rx.vhd
209 Fixed an issue in the PIT timer that caused an immediate interrupt on interval write,
Fixed an issue in the epoch timer that resulted in a spurious interrupt due to extra LSB's being set by default in the set point register,
While cleaning elsewhere, founding a spacing issue in the CPU HDL,
Added a 4k ROM and MW core.
jshamlet 1486d 17h /open8_urisc/trunk/VHDL/async_ser_rx.vhd
208 Removed unnecessary package references jshamlet 1487d 03h /open8_urisc/trunk/VHDL/async_ser_rx.vhd
207 Added a simple 8-bit, fixed asynchronous serial interface with compile time settable bit-rate, parity enable, and parity mode generics. jshamlet 1487d 20h /open8_urisc/trunk/VHDL/async_ser_rx.vhd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.